Senin, 22 April 2013

tugas VHDL


program multiplexter dengan menggunakan operator
dibawah ini list programnya

library ieee ;
use ieee.std_logic_1164.all;
entity mux_1 is
port
(a,b,c,d : in std_logic;
sel: in std_logic (1 downto 0);
y: out std_logic;
end mux_1;

architecture arc_mux of mux_1 is
begin
with sel select
y<= a when "00";
b when "01";
c when "10";
d when others;
end arc_mux;

selamat mencoba......!

buatlah decoder 3 to 8 dengan menggunakan operator.
dibawah ini list programnya..

library ieee ;
use ieee.std_logic_1164.all;
entity 3to8decoder is
port (
a, b, c : in std_logic ;
y : out std_logic_vector (7 downto 0) ) ;
end decoder ;

architecture arcdecoder of 3to8decoder is
signal 
abc : std_logic_vector (2 downto 0) ;
begin
abc <= a & b & c ;
with abc select y <=
"00000001" when "000",
"00000010" when "001",
"00000100" when "010",
"00001000" when "011",
"00010000" when "100",
"00100000" when "101",
"01000000" when "110",
"10000000" when others ;
end arcdecoder ;

selamat mencoba...

Jumat, 29 Maret 2013

asa yang tak kan padam


aku ingin berkhayal bersamamu..
aku ingin mengisi ceritamu dengan diriku..
bersama deretan tulisanmu..
aku ingin jadi inspirasimu 
hingga dirimu tak  perlu mendongak terlalu jauh
karna aku ada di sampingmu...
aku kan selalu memasukan rumus dirimu dalam racikan logikaku...
daku ingin membagi dunia sempitku bersama duniamu
yang nanti membentang luas dengan kerangka imajimu
mari nyalakan bara hidup...
aku sang nahkoda 
dan kamulah navigatornya...
mengarungi tiap derajat lintang dan bujur bumi
dengan cerita...
cerita yang sederhana namun tak terlupa...
aku merindukan kamu selalu
tulisanmu ceritamu... bersamaku..

Selasa, 19 Februari 2013

Ide Lagi-lagi Ide



Beberapa hari, aku memikirkan beberapa ide apa yang harus aku angkat ke ceritaku, rasanya otak ini pengen diperas kemudian diberi bilasan yang baru agar nemu ide yang segar. Ide yang mana bisa membuat karya yang terbaik. Kata “apa” dan “bagaimana” itu selalu memutus keinginan membuat karya tulis, nyerah dah rasanya.

Lanjut menulis lagi, andai ada alat Doraemon yang bisa membantu menulis yang disertai ide yang bagus pasti enak ya…?.  Akhirnya jadi tidak jadi menulis malah melamun, mengkhayalkan apa-apa yang di inginkan. Sejam berlalu kertas masih kosong, hal yang dipikirkan adalah bagaimana awal membuat ceritanya?; Siapa nama tokoh yang tepat dan bagus ?; terus alurnya bagaimana?; cerita cintakah? Dari sekian banyak pertanyaan yang mengambang di kepala.

Kertas masih putih dengan garis yang tidak cacat sedikitpun, pena pun masih berputar di tangan belum juga menari, menitikkan noda hitam yang nantinya bisa jadi karya. Mengkhayal kembali, “kok bisa ya penulis itu menemukan ide secemerlang itu?”. Pindah lokasi cari lokasi yang adem, siapa tahu ide bisa muncul. Tak lama kemudian “Aaaaaaaaaaaaarrrggghhhhh… ide kenapa sih kamu nggak mau datang, kamu lagi ngapain sih? datang aja kok ribet” Aku teriak sendiri di kamar kost yang berserakan layak kapal pecah. Istirahat akhirnya opsi yang terbaik, “ngaso-ngaso karo nyemil ndanio nemu ide sing top(1 beranjak dari bawah ke tempat tidur. Komputer yang masih nyala di temani Mp3 yang masih meriuhkan kamar, lagu Maudy Ayunda satu album terpampang di Playlist.

Terlentang tengok kiri, kanan, Tiiit…tiiit…tiit…tiit… Hape berbunyi ada satu pesan. From Chicha “ Bru dari coban.Ni lagi duduk2 d rmhx apan trus mau k aga” . langsung saja dibalas “whehe wenak, ngati2 jangan lupa maem”, lanjut lagi nyari ide. “pamggil aku sayang….. panggil aku cinta.aaa betapa bahagianya aku… bila kudemgar kau panggil aku sayaang…” lagu Maudy Ayunda masih berdendang di kamar. Ke belakang dulu ya… permisi………………………….
Boring…. Kata itu baru terucap, ide mana ya…? “Lha kok iso angel men to yo yo goleki ide ae angel eram(2 “Andai dirimu pemilik hatiku….” Sudah ganti berapa lagu, kertas masih belum berubah posisi.
Akhirnya ….. “sudahlah capek mending makan dulu laper lagi”…
Seorang Penulis handal pun tidak akan memaksakan diri untuk menulis jika memang tiada ide yang bisa dibuat jadi karya..

   1)      Istirahat sekalian nyemil mugkin menemukan ide yang bagus
   2)      Lha kok bisa sulit sekali ya. Mencari ide saja susah banget.

Senin, 18 Februari 2013

7 Hal Paling Disenangi

hal paling menyenangkan tentunya setiap orang punya hal yang berbeda-beda kalau bicara tentang hal yang menyenangkan, karena hal itu bersifat relatif dan tergantung pada empunya hal yang disenangi. mungkin banyak berbagai hal yang menjadi dasar tentang hal yang disenangi, dan ini saya akan menunjukkan hal yang paling saya senangi baik yang sudah tecapai maupun belum tercapai,

7 hal yang paling menyenangkan dalam hidup saya :
  1.  Membuat Bapak saya selalu senang dan tertawa plus bangga dengan hasil yang saya peroleh, dengan melihat beliau tersenyum saja akan memberikan semangat baru untuk berjuang memperoleh hasil yang terbaik lagi.
  2.  Membuat kakak saya bangga terutama dalam hal prestasi akademik, meskipun saya sudah berulang kali saya kurang maksimal dalam prestasi akademik maupun lainynya, namun saya tetap senang karna dibalik kekurangan dan kegagalan mereka senantiasa ada memberikan semangat yang tak pernah padam.
  3. Ketika saya berhasil menjalankan suatu rencana kegiatan, kalau yang ini pasti tidak bisa dipungkiri pasti banyak yang setuju dengan pendapat saya.
  4. Ketika saya diberi coklat atau eskrim coklat, pastinya pendapat yang ini banyak yang mengira saya seperti anaka kecil yang senang dengan cukup diberi eskrim coklat dan sebagainya, namun saya punya alasan lain karena coklat itu kan manis jadi saya berpendapat bahwa coklat adalah perwujudan dari sang pemberi(alias saya itu senanag dan senang hati jika dikasih coklat).
  5.  Ketika ada seorang yang mau membagi cerita dengan saya, siapapun dan tak terkecuali. karena saya ingin belajar dari setaip orang yang bercerita, karena saya beranggapan bahwa mereka yang mempunyai cerita pastinya mereka memiliki pengalaman yang tak ternilai harganya,
  6. Ketika saya melihat pacar saya tersenyum karena tingkah saya, wah kalau hal yang begini pasti banyak anak muda yang setuju apalagi yang laki-laki, berarti saya tak perlu menjelaskan lagi.
  7. Saya senang bisa jadi orang sukses dan bisa berguna bagi orang disekitar saya, ini adalah mimpi dari seorang anak kecil yang sedang mengukir masa depannya menjadi secerah apa yang dibayangkan.


hal di atas adalah beberapa hal yang menyenang dari sekian banyak hal yang saya senangi. nah kalau begitu apa hal yang ada senangi??? 

silahkan komentarnya terima kasih

Rabu, 13 Februari 2013

Sang Surya


Pasti kalian tahu siapa si surya ini? *nyoba mengingat2 kapan postingan Surya diposting di blog ini. Sudah ketemu apa belum ?  Nah ini adalah fakta dan realita tentang Surya. Tokoh fiktif setengah nyata ini adalah :


Nama              : Surya Prawira Laksana
No KTP         : 35022021029110001 (akal-akalan aja)
Jenis Kelamin : Laki-laki 
Tempat Lahir : Rumah Sakit Dr Soedomo
Tanggal          : di KTP itu sudah ada
Domisili          : Nomaden tapi bisa di lihat di KTP kapan-kapan
Hobi             : Tidur, klayapan, Baca Buku, bertemu sama Melati
Status : In Relationship with Melati Kusuma Wardhani
Pekerjaan    : Mahasiswa di Perguruan Ternama di Suatu Kota
Makanan       : Soto, Nasi Goreng, Sate Ayam, Kambing  juga
Minuman       : Ice Tea, Jus Alpukat plus Choco full, dan Minuman dengan nama yang Unik
Cemilan         : Tempe Kripik, Brownies(Amanda).
Club Bol       : Manchester United



Surya pemuda dari desa yang terpencil(kayak di dongeng aja) merupakan anak ke 4 dari 4 bersaudara alias ragil, nama Surya Prawira Laksana Pemberian dari Bapak dan Ibunya, Surya bermakna Matahari penerang Prawira bernakana Pejuang/prajurit Laksana bermakna Menjalankan, bertindak. Jadi artinya “Prajurit Laksana Penerang” itu harapan dari Orang tuanya Surya mempunyai 2 kakak perempuan dan 1 kakak Laki-laki, dengan keluarga yang memutuskan untuk berpetualang jadi mereka jarang bertemu kecuali pada waktu lebaran datang. Sury a yang hidup in the kost sudah terbiasa pas waktu libur tetep berdiam diri di kost meski yang lain pada gembor-gembor pulang kampung. Menghadapinya dengan senyuman (* padahal dalam hati galau bingung mau ngapain hahaha #Pengarang ketawa guling-guling).  akhirnya menetap di kost mencari kegiatan yang bisa menambah ilmunya  Hal paling di sukai adalah baca buku apapun yang menurutnya menarik(*apa termasuk buku itu ya #DoYouKnowWhatIMean). Kedua bertemu dengan Melati dengan berlama-lama pas ketemunnya, jadi kemungkinan terbesar orang yang paling ngerti kebiasaan Surya adalah Melati *Backsound Play Ungu – Ku Ingin Selamanya (Pengarang ikut nyanyi !@#@@$@) 

Surya paling suka melihat Bintang di Langit, Dia merasa dengan melihat bintang bisa mendapat perasaan tenang, Karena di situlah Surya bisa bermain Imajinasinya, berpetualang dalam dunianya yang maya,  tentunya bisa mengajak Melati mengarungi dunia Imaji Surya, “Dunia Khayalan Sang Surya” Surya sering menyebutnya seperti itu, Surya yakin bisa mewujudkan Dunianya kelak, “ You never lose cause you have your world never lostWestlife – I have A Dream 

Surya yang berkeinginan jadi insinyur, selain keinginan tapi itu sebenarnya adalah suatu yang terpendam dari Surya yang semenjak kecil ingin bisa membuat suatu alat yang bisa bermanfaat, meski sampai saat cerita ini dimuat belum kesampaian namun Surya masih ingin menggapai mimpinya “ A man Always Have Idea To Make Him  better than Today J Rock – Meraih Mimpi

Surya juga penikmat lagu-lagu yang beraneka macam, kalau diceritakan apa musiknya pasti lama, tapi ada hal kebiasaan Surya yang biasanya mewakilkan apapun terhadap suatu lagu. “ Ya dengan Lagu yang kita dengarkan waktu kejadian itu pasti mudah diingat, silahkan dicoba… “ begitu tuturnya..

sekian pemaparan singkat dari tikoh fiktif setengah nyata, yang mungkin suatu saat bukan terpampang di Blog ini saja.. see You…

Bca’s